Omron CP andian-dahatsoratra CP1H CPU Unit CP1H-X40DT-D-SC CP1H-XA40DT-D-SC

Famaritana fohy:

Product Details

  • Omron CP andian-dahatsoratra CP1H CPU Unit CP1H-X40DT-D-SC CP1H-XA40DT-D-SC
  • Omron PLC Controller Module CP-series
  • Izahay dia mpivarotra Omron plc kilasy voalohany ary mpaninjara omron plc any Shina.
  • Afaka mamatsy ampahany automatique Omron izahay, toy ny Omron PLC, Omron Servo Motor, Omron HMI, Omron VFD ary Omron Relay ary Omron Sensor ary ect.
  • 100% Original sy vaovao, Ao amin'ny tahiry Omron Automation
  • Fotoana fanaterana: Vonona amin'ny tahiry ary 1 andro ho an'ny fandefasana
  • MOQ: 1 pcs

 

 

 

 

 

 

 

 

 


Izahay dia iray amin'ireo mpamatsy FA tokana matihanina indrindra any Shina.Ny vokatra lehibe indrindra ao anatin'izany ny motera servo, gearbox planeta, inverter sy PLC, HMI. Brands anisan'izany ny Panasonic, Mitsubishi, Yaskawa, Delta, TECO, Sanyo Denki, Scheider, Siemens , Omron sy sns.;Fotoana fandefasana: Tao anatin'ny 3-5 andro fiasana taorian'ny nahazoana ny fandoavam-bola.Fomba fandoavam-bola: T / T, L / C, PayPal, West Union, Alipay, Wechat sy ny sisa

Product Detail

Tags vokatra

modely Ireo singa mifandraika amin'ny CP1H-XA Ireo singa mifandraika amin'ny CP1H-X CPU Ireo singa mifandraika amin'ny CP1H-Y CPU
CP1H-XA@@@@ CP1H-X@@@@ CP1H-Y@@@@
Fomba fanaraha-maso Fomba fandaharana voatahiry
fomba fanaraha-maso I/O Fikarohana cyclic miaraka amin'ny famelombelomana avy hatrany
Fiteny fandaharana Diagrama tohatra
Function blocks Isan'ny famariparitana sakana miasa: 128 Isan'ny tranga faratampony: 256 Fiteny azo ampiasaina amin'ny famaritana sakana miasa: Kisary tohatra, lahatsoratra voarafitra (ST)
Ny halavan'ny fampianarana Dingana 1 hatramin'ny 7 isaky ny fampianarana
torolalana Approx.500 (kaody fiasa: 3 isa)
Fotoana fanatanterahana ny fampianarana Torolàlana fototra: 0,10 ms min.Torolàlana manokana: 0,15 ms min.
Fotoana fanodinana mahazatra 0,7 ms
Fahaizana fandaharana 20K dingana
Isan'ny asa 288 (asa cyclic 32 ary asa manapaka 256)
Laharan'ny subroutine ambony indrindra 256
Isa ambony indrindra 256
Asa bits 8,192 bitika (teny 512): W0.00 hatramin'ny W511.15 (W0 hatramin'ny W511) Faritra CIO: 37,504 bits (teny 2,344): CIO 3800.00 hatramin'ny CIO 6143.15 (CIO 3800 hatramin'ny CIO 6143)
Faritra TR 16 bit: TR0 hatramin'ny TR15
Faritra fitazonana 8,192 bit (512 teny): H0.00 hatramin'ny H511.15 (H0 hatramin'ny H511)
AR Area Vakiana fotsiny (voarara ny soratana): 7168 bits (448 teny): A0.00 hatramin'ny A447.15 (A0 hatramin'ny A447) Mamaky/Manoratra: 8192 bits (teny 512): A448.00 hatramin'ny A959.15 (A448 hatramin'ny A959) )
Timers 4,096 bit: T0 hatramin'ny T4095
tranombarotra 4,096 bit: C0 hatramin'ny C4095
Faritra DM 32 Kwords: D0 hatramin'ny D32767
Faritra fisoratana anarana 16 rejisitra (16 bits): DR0 hatramin'ny DR15
Faritra fisoratana anarana Index Rejistra 16 (32 bit): IR0 hatramin'ny IR15
Faritra fanevan'ny asa 32 saina (32 bit): TK0000 hatramin'ny TK0031
Trace Memory Teny 4,000 (santionany 500 ho an'ny angona trace 31 bits sy teny 6 ambony indrindra.)
Kasety fitadidiana Azo apetraka ny kasety fitadidiana manokana (CP1W-ME05M).Fanamarihana: Azo ampiasaina amin'ny backup ny programa sy ny auto-booting.
Famantaranandro fiasana Nanohana.Fahamarinana (fiviliana isam-bolana): -4,5 min hatramin'ny -0,5 min (mari-panaon'ny manodidina: 55°C),-2,0 min hatramin'ny +2,0 min (mari-panaon'ny manodidina: 25°C), -2,5 min hatramin'ny +1,5 min (mari-panaon'ny manodidina: 0 °C)
 Famerenana fahatsiarovana Fahatsiarovana tselatra: Ny programa mpampiasa, ny mari-pamantarana (toy ny PLC Setup), ny angona fanehoan-kevitra, ary ny faritra DM manontolo dia azo tehirizina ho fahatsiarovana tselatra ho sanda voalohany. ) dia tohanan'ny bateria.
Ny fiainan'ny bateria 5 taona amin'ny 25 ° C.(Ampiasao ny bateria fanoloana ao anatin'ny roa taona nanaovana azy.)
 Fampidirana voaorina  40 (24 fampidirana, 16 vokatra) 20 (fampidirana 12, vokatra 8) Fampidirana mpamily andalana: Famaky roa ho an'ny dingana A, B, ary Z Famoahana mpamily andalana: Famaky roa ho an'ny CW sy CCW
Isan'ny singa fanitarana (I/O) azo ampifandraisina CP Expansion I/O Units: 7 max.;CJ-series Special I/O Units na CPU Bus Units: 2 max.
Max.isan'ny teboka I/O 320 (40 naorina in + 40 isaky ny Expansion (I/O) Unit ´ 7 Units) 300 (20 naorina in + 40 isaky ny Expansion (I/O) Unit ´ 7 Units)
Interrupt inputs Fampidirana 8 (Nozarain'ny fampidiran-dresaka ivelany (mode mpanohitra) sy ny fidirana haingana.) Fampidirana 6 (Nozarain'ny fampidiran-dresaka ivelany (mode mpanohitra) sy ny fidirana haingana.)
 Atsaharo ny fomba fanoheran'ny fidirana Fampidirana 8 (Frekan'ny valiny: 5 kHz max. ho an'ny fampidiran-dresaka rehetra), 16 bits miakatra na midina Fampidirana 6 (Frekan'ny valiny: 5 kHz max. ho an'ny fampidiran-dresaka rehetra), 16 bits miakatra na midina
Fampidirana valiny haingana teboka 8 (Haakan'ny pulse fampidirana min.: 50ms max) 6 pts (Haakan'ny pulso min.: 50ms max)
Fiatoana voalahatra 1
   

Kaontera haingam-pandeha

  4 fampidirana: Differential phases (4x), 50 kHz na

Dingana tokana (pulse plus direction, miakatra/midina, increment), 100 kHz

Sandan'ny sanda: 32 bit, Linear mode na peratra mode Interrupts: Fampitahana sanda kendrena na fampitahana isan-karazany

Fampidirana 2: Fizarana samihafa (4x), 500 kHz na dingana tokana, 1 MHz ary fampidirana 2: Fizarana tsy mitovy (4x), 50 kHz na dingana tokana (fihodinana miampy, miakatra/midina, fitomboana),100 kHz

Sandan'ny sanda: 32 bit, Linear mode na peratra mode Interrupts: Fampitahana sanda kendrena na fampitahana isan-karazany

Ny terminal I/O analogue naorina 4 analog inputs ary 2 analog outputs tsy misy
Fanaraha-maso Analog 1 (Salan'ny fametrahana: 0 hatramin'ny 255)
Fampidirana analoga ivelany Fampidirana 1 (Resolution: 1/256, Fampidirana: 0 hatramin'ny 10 V), tsy mitokana

 

 


  • teo aloha:
  • Manaraka: